閱讀 | 訂閱
閱讀 | 訂閱
半導(dǎo)體/PCB

半導(dǎo)體制造行業(yè)深度報(bào)告:從um級(jí)制造到nm級(jí)制造

星之球科技 來源:未來智庫2020-06-29 我要評(píng)論(0 )   

1、半導(dǎo)體制造:半導(dǎo)體產(chǎn)業(yè)鏈中的王者將半導(dǎo)體產(chǎn)業(yè)鏈分為上中下游。上游芯片制造封測(cè)支撐行業(yè),主要是半導(dǎo)體設(shè)備和材料提供商,設(shè)備代表廠商有 ASML、應(yīng)材、Lam,國內(nèi)企...

1、半導(dǎo)體制造:半導(dǎo)體產(chǎn)業(yè)鏈中的王者

將半導(dǎo)體產(chǎn)業(yè)鏈分為上中下游。上游芯片制造封測(cè)支撐行業(yè),主要是半導(dǎo)體設(shè)備和材料提供商,設(shè)備代表廠商有 ASML、應(yīng)材、Lam,國內(nèi)企業(yè)有北方華創(chuàng)、中微公司;材料代表廠商有信越化學(xué)、SUMCO、住友化學(xué)、陶氏化學(xué),國內(nèi)廠商有華特氣體、安集科技等。中游半導(dǎo)體制造產(chǎn)業(yè)分為集成電路設(shè)計(jì)、制造、封測(cè)三個(gè)部分。IC 設(shè)計(jì)廠商有高通、AMD、英偉達(dá)、聯(lián)發(fā)科,國內(nèi)廠商有華為海思、卓勝微、圣邦股份、紫光國微等;IC 制造廠商有臺(tái)積電、聯(lián)電、格羅方德,國內(nèi)廠商有中芯國際、華虹半導(dǎo)體;IC 封測(cè)廠商有日月光、矽品、AMKOR,國內(nèi)廠商有長電科技、華天科技、通富微電、晶方科技等。涵蓋 IC 設(shè)計(jì)、制造、封測(cè)三者的 IDM 廠商有 Intel、三星電子、索尼、TI,國內(nèi)廠商有長江存儲(chǔ)和士蘭微。半導(dǎo)體下游終端應(yīng)用領(lǐng)域有汽車電子、工業(yè)電子、通信、消費(fèi)電子、PC 等領(lǐng)域。

在行業(yè)價(jià)值鏈中,半導(dǎo)體制造占有近一半的產(chǎn)值,毛利率也較高,但高價(jià)值伴隨著高壁壘, 技術(shù)限制、高額的資本投入導(dǎo)致制造領(lǐng)域馬太效應(yīng)十分明顯,龍頭廠商市占率和毛利率均遠(yuǎn)高于其他廠家。

2. 半導(dǎo)體制造行業(yè)三大核心問題

半導(dǎo)體制造行業(yè)的關(guān)注點(diǎn)主要集中在三點(diǎn)上,這三個(gè)問題是半導(dǎo)體制造行業(yè)技術(shù)發(fā)展的強(qiáng)勁推動(dòng)力,也是馬太效應(yīng)形成的根本原因:

半導(dǎo)體制程的發(fā)展晶圓的尺寸晶圓廠的產(chǎn)能我們將分別針對(duì)這三個(gè)問題進(jìn)行深度剖析。

2.1. 半導(dǎo)體制程發(fā)展之路:摩爾定律還能走多遠(yuǎn)?

半導(dǎo)體制程工藝的發(fā)展,離不開摩爾定律。摩爾定律指出,當(dāng)價(jià)格不變時(shí),集成電路上可容納的元器件的數(shù)目,約每隔 18~24 個(gè)月便會(huì)增加一倍,性能也將提升一倍。隨著晶體管尺寸的減少,相同單位面積中可以容納更多的晶體管,相同大小的處理器可以獲得更高的處理能力。且小的晶體管消耗的功率少,這減少了芯片的總功耗,產(chǎn)生的熱量也隨之降低, 因此可以進(jìn)一步提高時(shí)鐘速度。

在制程發(fā)展上,Intel、IBM、三星、GF 等按著 180nm -> 130nm -> 90nm -> 65nm -> 45nm-> 32nm -> 22nm 的步調(diào)前行(三星和 GF 在 32nm 后轉(zhuǎn)向 28nm),而臺(tái)積電等半導(dǎo)體晶圓代工廠則走上了 150nm->110nm->80nm->55nm->40nm->28nm->20nm 的路線。隨著制程的發(fā)展,行業(yè)集中度提升,10nm 以下制程只有英特爾、三星、臺(tái)積電三個(gè)公司。

存儲(chǔ)芯片制程發(fā)展與邏輯芯片制程發(fā)展速度不太一致,但都受到摩爾定律的限制。DRAM 目前最先進(jìn)工藝位于 18-15nm 之間,通常認(rèn)為 10nm 是物理極限。從 2016 年開始,供應(yīng)商開始采用 1xnm 節(jié)點(diǎn)制,其中供應(yīng)商在路線圖上擁有三種 DRAM 產(chǎn)品(1xnm,1ynm 和 1znm)。最初,將 1xnm 節(jié)點(diǎn)定義為具有 17nm 至 19nm 幾何形狀的 DRAM,1ynm 是 14nm 至 16nm,1znm 是 11nm 至 13nm。目前供應(yīng)廠商的節(jié)點(diǎn)停留在 1xnm 狀態(tài)(1xnm, 1ynm,1znm,新三代制程包括 1anm,1bnm,1cnm 都屬于 1xnm 節(jié)點(diǎn)機(jī)制)。各大廠商正在積極尋找新的解決方案,繼續(xù)擴(kuò)展 DRAM 并提高性能,在未來的制程節(jié)點(diǎn)上可能會(huì)使用 EUV 光刻實(shí)現(xiàn)轉(zhuǎn)變。SK Hynix 計(jì)劃在 1anm 使用 EUV,該技術(shù)將于 2021 年面世。三星在 1znm 完成了對(duì) DRAM 的 EUV 測(cè)試,但不會(huì)用于量產(chǎn),可能會(huì)用于 1a 或 1b 的產(chǎn)品中。美光則計(jì)劃將 193nm 浸沒式光刻和 SADP 擴(kuò)展到 1bnm。

NAND 閃存驅(qū)動(dòng)器的容量取決于芯片中的單元數(shù)量。在 2D NAND 結(jié)構(gòu)中,存儲(chǔ)單元在單個(gè)管芯層中彼此相鄰放置,以提高存儲(chǔ)容量。單元尺寸從 120 nm 減小到 1x nm,使容量增加了 100 倍,且當(dāng)前 15nm/14nm 已經(jīng)達(dá)到了極限。2D NAND 技術(shù)存在兩個(gè)局限,一是單元縮小是依靠光刻技術(shù)實(shí)現(xiàn)的,當(dāng)光刻技術(shù)到達(dá)極限時(shí),單元無法進(jìn)一步縮??;二是當(dāng)存儲(chǔ)單元降至 20 nm 以下時(shí),電荷從一個(gè)單元泄漏到另一個(gè)單元的機(jī)會(huì)大大增加,這種單元間干擾會(huì)導(dǎo)致數(shù)據(jù)損壞,從而嚴(yán)重?fù)p害閃存的可靠性。因此廠商們轉(zhuǎn)向 3D NAND, 單元以垂直堆疊的方式來增加密度。3D 堆疊有單層堆棧和線堆棧兩種。在最新的 128 層中,廠商大多使用兩個(gè) 64 層進(jìn)行堆疊,三星計(jì)劃單層堆疊,在沒有其他新突破的情況下,128 層是單層堆疊的極限。而線堆疊在 500 層也可能存在問題,因此還需要探索新的堆疊方法。

不管是邏輯芯片還是存儲(chǔ)芯片,制程量級(jí)越低,技術(shù)難度越大,制作成本也越高。IBS 的數(shù)據(jù)顯示:28nm 體硅器件的設(shè)計(jì)成本大致在 5130 萬美元左右,而 7nm 芯片需要 2.98 億, 5nm 則需要 5.42 億美元,成本的增長速度越來越快。

半導(dǎo)體制程以 28nm 為界線,分為先進(jìn)制程和成熟制程。從需求上看,先進(jìn)制程需求在逐年增長,成熟制程需求較為穩(wěn)定。

2.1.1. 成熟制程——以 28nm 為代表

28nm 是半導(dǎo)體制程里性價(jià)比最高、長周期屬性明顯的制程。一方面,相較于 40nm 及更早期制程,28nm 工藝在頻率調(diào)節(jié)、功耗控制、散熱管理和尺寸壓縮方面具有明顯優(yōu)勢(shì)。另一方面,由于 16nm/14nm 及更先進(jìn)制程采用 FinFET 技術(shù),維持高參數(shù)良率以及低缺陷密度難度加大,每個(gè)邏輯閘的成本都高于 28nm,從前面制程成本比較的圖中也可以看出, 先進(jìn)制程每一代成本都加速上升。隨著成本不斷上升,只有少數(shù)客戶能夠負(fù)擔(dān)得起轉(zhuǎn)向高級(jí)節(jié)點(diǎn)的費(fèi)用。

28nm 工藝處于 32nm 和 22nm 之間,業(yè)界在 45nm 階段引入了 high-k 值絕緣層/金屬柵極(HKMG)工藝,在 32nm 處引入了第二代 high-k 絕緣層/金屬柵工藝,這些為 28nm 的逐步成熟打下了基礎(chǔ)。而在之后的先進(jìn)工藝方面,從 22nm 開始采用 FinFET(鰭式場(chǎng)效應(yīng)晶體管)等。28nm 正好處于制程過渡的關(guān)鍵點(diǎn)上,使其性價(jià)比高。

28nm 制程主要有 HKMG 工藝(金屬柵極+高介電常數(shù)絕緣層 High-k 柵結(jié)構(gòu))和poly/SiON 工藝(多晶硅柵+氮氧化碳絕緣層的柵極結(jié)構(gòu))。與傳統(tǒng)的 Poly/SiON 工藝相比, HKMG 技術(shù)可以有效的改善驅(qū)動(dòng)能力,進(jìn)而提高晶體管的性能,同時(shí)大幅降低低柵極漏電量。Poly/SiON 工藝的特點(diǎn)是成本低,工藝簡單,適合對(duì)性能要求不高的手機(jī)和移動(dòng)設(shè)備。HKMG 的優(yōu)點(diǎn)是大幅減小漏電流,降低晶體管的關(guān)鍵尺寸從而提升性能,但是工藝相對(duì)復(fù)雜,成本與 Poly/SiON 工藝相比較高。

市場(chǎng)上提供 28nm 制程的主要廠商有臺(tái)積電、聯(lián)電、三星、GF、中芯國際、華虹半導(dǎo)體等。臺(tái)積電于 2011 年開始導(dǎo)入 28nm 制程量產(chǎn),并在 2012 年攻克了 28nm HKMG 制程,三星則是在 2012 年實(shí)現(xiàn)了 28nm 的量產(chǎn),并于 2013 年導(dǎo)入了 28nm HKMG。UMC 在 2014 年實(shí)現(xiàn)量產(chǎn) 28nm 的 HKMG,目前公司放棄了 12nm 以下先進(jìn)制程的研發(fā)。格芯在 2013 年量產(chǎn),格芯的主要工藝是 FD-SOI。中芯國際在 2015 年開始導(dǎo)入 28nm 制程量產(chǎn)的, 并于 2018 年導(dǎo)入 HKMG,同年華虹宣布開始 28nm 制程量產(chǎn)。

目前,雖然高端市場(chǎng)被 7nm、10nm 以及 14nm/16nm 工藝占據(jù),但 40nm、28nm 等并不會(huì)退出。 28nm~16nm 工藝現(xiàn)在仍然是臺(tái)積電的營收主力,中芯國際則在持續(xù)提高28nm 良率。

在下游需求方面,IoT/穿戴裝置與面板驅(qū)動(dòng) IC 需求看漲。一方面,IoT 芯片功能大多以數(shù)據(jù)收集為主,功能單純且需維持長時(shí)間使用并兼顧低價(jià)高量,因此多半集中在 28nm 以上的節(jié)點(diǎn)制造。近年 IoT 與各項(xiàng)領(lǐng)域結(jié)合程度越來越高,5G 與 AI 的推動(dòng)讓 IoT 有了進(jìn)一步的技術(shù)需求,也讓客戶評(píng)估制程技術(shù)轉(zhuǎn)移的可能性。另一方面,受惠 OLED 面板在更多的終端應(yīng)用產(chǎn)品上滲透率持續(xù)上升,以及國內(nèi) OLED 廠商產(chǎn)能陸續(xù)開出,OLED DDIC(面板驅(qū)動(dòng) IC)市場(chǎng)也將成為新一波 28nm 的成長動(dòng)能;過去 OLED DDIC 以 40nm 制程為主,但為了滿足日后需求量上升,在既有 40nm 產(chǎn)能已滿載而 28nm 產(chǎn)能出現(xiàn)空缺的情況下,晶圓代工廠商也積極與客戶合作制程轉(zhuǎn)移,期望能達(dá)到填補(bǔ) 28nm 缺口并囊括更多訂單。

2.1.2. 先進(jìn)制程——得先進(jìn)制程者得天下

半導(dǎo)體從成熟制程發(fā)展先進(jìn)制程,必須要解決光刻、晶體管架構(gòu)、溝道材料三個(gè)問題。

光刻

光刻是半導(dǎo)體制造過程中最難的一步。在半導(dǎo)體制作過程中,光刻設(shè)備會(huì)投射光束, 穿過印著圖案的掩模及光學(xué)鏡片,將線路圖曝光在帶有光感涂層的硅晶圓上;通過蝕刻曝光或未受曝光的部份來形成溝槽,然后再進(jìn)行沉積、蝕刻、摻雜,架構(gòu)出不同材質(zhì)的線路; 此制程被一再重復(fù), 就能將數(shù)以十億計(jì)的 MOSFET 或其他晶體管,建構(gòu)在硅晶圓上,形成一般所稱的集成電路。光刻決定了半導(dǎo)體線路的精度,以及芯片功耗與性能,相關(guān)設(shè)備需要集成材料、光學(xué)、機(jī)電等領(lǐng)域最尖端的技術(shù)。

光源是光刻機(jī)核心之一,光刻機(jī)的工藝能力首先取決于其光源的波長。光源波長越短,激發(fā)出的更小尺寸的光子。曝光方式分為 Stepper 和 Scanner 兩種。Stepper 一次性將整個(gè)區(qū)域進(jìn)行曝光;Scanner 將鏡頭沿 Y 方向的一個(gè)細(xì)長空間曝光,硅片和掩模同時(shí)沿 X 方向移動(dòng)經(jīng)過曝光區(qū)動(dòng)態(tài)完成整個(gè)區(qū)域的曝光。Scanner 曝光圖像畸變小、一致性高,速度也更快,所以目前主流光刻機(jī)都是 Scanner。

下一代的工藝,就必須采用 EUV 光源的設(shè)備,三星 7nm 節(jié)點(diǎn)上已經(jīng)采用了 EUV 設(shè)備。隨著先進(jìn)制程的進(jìn)一步發(fā)展,EUV 光刻設(shè)備是必要設(shè)備。

目前 EUV 技術(shù)主要運(yùn)用在邏輯工藝制程中。由于三星、臺(tái)積電在 2019 年大規(guī)模量產(chǎn) EUV 工藝,所以 2019 年是 ASML 的 EUV 光刻機(jī)大幅增長的一年。ASML 2019 年財(cái)報(bào)顯示,全年共計(jì)出貨 26 臺(tái) EUV 光刻機(jī),營收 118.2 億歐元,同比增長了 8,EUV 光刻機(jī)的營收占比從 2018 年的 23 提升到 31 。未來也會(huì)保持這個(gè)趨勢(shì),預(yù)計(jì) 2020 年交付 35 臺(tái) EUV 光刻機(jī),2021 年則會(huì)達(dá)到 45 臺(tái)到 50 臺(tái)的交付量。ASML 還針對(duì)后續(xù)更為先進(jìn)的 3 納米、2 納米制程的需求,開始規(guī)劃新一代 EUV 光刻機(jī) EXE:5000 系列。

晶體管架構(gòu)

在半導(dǎo)體制程進(jìn)化的過程中,um 級(jí)及高尺寸 nm 級(jí)采用的都是 MOS 結(jié)構(gòu),縮小尺寸就是縮小了柵極橫向的寬度。隨著制程要求的進(jìn)一步提高,如果采用 MOS 結(jié)構(gòu),線寬需要繼續(xù)縮小,這會(huì)造成源端和漏端短路,電路會(huì)因此失效,且飽和電流會(huì)過小。

為了解決這些問題,出現(xiàn)了 FinFET 技術(shù)和 FD-SOI 技術(shù)。

FinFET 是一種場(chǎng)效應(yīng)晶體管,其具有一從基材突出的狹窄半導(dǎo)體材料有源區(qū)域,因此, 類似于鰭(fin)。此鰭包括源極區(qū)域與漏極區(qū)域。鰭的有源區(qū)域通過淺溝槽隔離(shallow trench isolat1n, STI)而被分隔,一般通過二氧化娃(S12)。鰭式場(chǎng)效應(yīng)晶體管也包括一位于源極區(qū)域與漏極區(qū)域之間的柵極區(qū)域。柵極區(qū)域形成于鰭的上表面與側(cè)壁,以包裹圍繞鰭。在柵極下方延伸且介于源極區(qū)域與漏極區(qū)域之間的鰭的部分為溝道區(qū)域。

FD-SOI 技術(shù)是一種平面工藝,利用不同的起始襯底,利用現(xiàn)有的 CMOS 平面制造方法的性能并擴(kuò)展其性能。FD-SOI 襯底具有一層超薄的絕緣體層掩埋氧化物,位于基底硅的頂部。晶體管通道由非常薄的硅薄膜組成。在設(shè)計(jì)上,F(xiàn)D-SOI 技術(shù)比傳統(tǒng)的大體積 CMOS 具有更好的晶體管靜電特性,并減少了器件泄漏。

SOI 技術(shù)不需要在 Fab 廠上進(jìn)行大量投資,且具有良好的背柵偏置選項(xiàng)。通過在 BOX 下方創(chuàng)建后柵極區(qū)域,我們還可以控制電壓,這使其適用于低功耗應(yīng)用。但 SOI 技術(shù)很難控制晶片中的錫硅膜,故晶片的成本要高于塊狀硅晶片,且 SOI 晶圓的供應(yīng)商數(shù)量比較少, 這將使總工藝成本增加約 10%。與 SOI 相比,F(xiàn)inFET 具有更高的驅(qū)動(dòng)電流,應(yīng)變技術(shù)可用于增加載流子遷移率,但 FinFET 制造工藝復(fù)雜。

在應(yīng)用上,F(xiàn)inFET 主要應(yīng)用于高性能、高集成度領(lǐng)域,比如 GPU 和 CPU 等高性能器件。

FD-SOI 在 IoT、5G、AI 和 ADAS/自動(dòng)駕駛中在成本和性能方面顯現(xiàn)出優(yōu)勢(shì)。

兩種技術(shù)各有特點(diǎn),未來在 40/28 納米,14/10 納米的 FD-SOI 和 FinFET 技術(shù)會(huì)共存一段相當(dāng)長時(shí)間。最終發(fā)展到 7 納米及以下時(shí),SOI 也將從 2D 發(fā)展到 3D,即發(fā)展為 SOI FinFET 工藝。這表明 SOI 與 FinFET 技術(shù)可能殊途同歸。

溝道材料

溝道是連接MOS 器件源和漏之間的一個(gè)導(dǎo)電區(qū)域,溝道材料影響電子在溝道中的遷移率。半導(dǎo)體制程向更先進(jìn)的節(jié)點(diǎn)邁進(jìn)時(shí),需要找到合適的溝道材料,加快電子在溝道中的移動(dòng)速度,提高器件的頻率。鍺及 III-V 族化合物具有這種特性,但是這些材料必須要具備與硅材料兼容的工藝,因此目前 SiGe 是比較好的選擇。

隨著這三個(gè)問題的解決,半導(dǎo)體制程向先進(jìn)工藝發(fā)展。目前,臺(tái)積電和三星均已有 7nm 工藝,英特爾為 10nm 工藝,但從晶體管密度上看,英特爾 10nm 技術(shù)可與三星和臺(tái)積電的 7nm 技術(shù)媲美。

先進(jìn)制程的優(yōu)勢(shì)在哪里?

從客戶端來看,目前選擇 7nm 工藝的客戶已經(jīng)超過 10 多家(AMD、蘋果、Bitman、博通、海思、聯(lián)發(fā)科、英偉達(dá)、高通、賽靈思、平頭哥等),7nm EUV 至少有 5 家(AMD、蘋果、海思、三星、高通),6nm 的客戶則除了以上的 5 家之外,還多了博通和聯(lián)發(fā)科,5nm 目前已確認(rèn)將采用的客戶則有 AMD、蘋果、海思、三星和賽靈思。這些客戶帶來龐大體量的訂單給代工廠帶來高額營收,2019年,臺(tái)積電作為唯一采用 7nm 工藝技術(shù)的純晶圓代工廠,每片晶圓營收顯著增加。

除了晶圓代工和邏輯芯片制造外,三星、美光、SK Hynix 和 Kioxia/WD 等存儲(chǔ)器供應(yīng)商也在使用先進(jìn)的工藝制造 DRAM 和 flash 存儲(chǔ)器組件。下游企業(yè)采用越來越先進(jìn)的工藝, 未來先進(jìn)制程會(huì)給制造廠商貢獻(xiàn)更多的營收。

2.2. 晶圓尺寸

從晶圓發(fā)展歷程來看,晶圓尺寸經(jīng)歷了 2 英寸、4 英寸、5 英寸、6 英寸、8 英寸和 12 英寸。晶圓的尺寸越大,在單片晶圓上制造芯片的數(shù)量就越多,單片芯片的分?jǐn)偝杀倦S之降低,并且晶圓片邊緣的損失會(huì)減小,因此發(fā)展大尺寸晶圓片有利于降低成本,但同時(shí)對(duì)設(shè)備和工藝的要求也越高。在同樣的工藝條件下,300mm 半導(dǎo)體晶圓的可使用面積超過200mm 晶圓的兩倍以上,可使用率(衡量單位晶圓可生產(chǎn)的芯片數(shù)量的指標(biāo))是 200mm 硅片的 2.5 倍左右。圖 19 為不同尺寸晶圓面積對(duì)比,資料來源于文章 Critical Dimension Sample Planning for 300 mm Wafer Fabs(作者:Sung Jin Lee, Raman K. Nurani, Ph.D., Viral Hazari, Mike Slessor, KLA-Tencor Corporation, J. George Shanthikumar, Ph.D., UC Berkeley)。

晶圓的原材料就是硅片,晶圓尺寸、需求與硅片息息相關(guān)。全球半導(dǎo)體硅片市場(chǎng)最主流的產(chǎn)品規(guī)格為 300mm 和 200mm,300mm 硅片出貨面積增長速度明顯高于 200mm 和150mm。2018 年,300mm 硅片和 200mm 硅片市場(chǎng)份額分別為 63.83 %和 26.14% ,兩種尺寸硅片合計(jì)占比接近 90%。

200mm 硅片的發(fā)展:

200mm 硅片的發(fā)展:2011 年開始,200mm 半導(dǎo)體硅片市場(chǎng)占有率穩(wěn)定在 25-27%之間。2016 年至 2017 年,由于汽車電子、智能手機(jī)用指紋芯片、液晶顯示器市場(chǎng)需求快速增長,200mm 硅片出貨面積同比增長 14.68% 。2018 年,受益于汽車電子、工業(yè)電子、物聯(lián)網(wǎng)等應(yīng)用領(lǐng)域的強(qiáng)勁需求,以及功率器件、傳感器等生產(chǎn)商將部分產(chǎn)能從 150mm 轉(zhuǎn)移至200mm,帶動(dòng) 200mm 硅片繼續(xù)保持增長。

300mm 硅片的發(fā)展:自 2000 年全球第一條 300mm 芯片制造生產(chǎn)線建成以來,300mm 半導(dǎo)體硅片市場(chǎng)需求增加,出貨面積不斷上升。2008 年,300mm 半導(dǎo)體硅片出貨量首次超過 200mm 半導(dǎo)體硅片;2009 年,300mm 半導(dǎo)體硅片出貨面積超過其他尺寸半導(dǎo)體硅片出貨面積之和。2000 年至 2018 年,由于移動(dòng)通信、計(jì)算機(jī)等終端市場(chǎng)持續(xù)快速發(fā)展,300mm 半導(dǎo)體硅片市場(chǎng)份額從 1.69 大幅提升至 63.83% ,成為半導(dǎo)體硅片市場(chǎng)最主流的產(chǎn)品。2016-2018 年,由于人工智能、區(qū)塊鏈、云計(jì)算等新興終端市場(chǎng)的蓬勃發(fā)展,300mm 半導(dǎo)體硅片出貨面積年均復(fù)合增長率為 8.36 %。

制程工藝的進(jìn)步對(duì)硅片面積要求更高。目前,90nm 及以下的制程主要使用 300mm 硅片, 90nm 以上的制程主要使用 200mm 或更小尺寸的硅片。隨著半導(dǎo)體制程的不斷縮小,芯片生產(chǎn)的工藝愈加復(fù)雜,生產(chǎn)成本不斷提高,成本因素驅(qū)動(dòng)硅片向著大尺寸的方向發(fā)展。因此未來幾年,300mm 仍將是半導(dǎo)體硅片的主流品種。

下游產(chǎn)品中,大尺寸硅片能夠生產(chǎn)更多類型的產(chǎn)品,且尺寸越大,高端芯片需求越高。不過近年來受到新興市場(chǎng)的驅(qū)動(dòng),八寸晶圓也面臨供不應(yīng)求的局面。全球 8 英寸晶圓廠大多擁有成熟的制程,運(yùn)營時(shí)間較長從而可以讓固定成本和運(yùn)營成本降低,適合多樣化產(chǎn)品和特色工藝的開發(fā)。

2.3. 晶圓產(chǎn)能

自 2000 年以來,半導(dǎo)體產(chǎn)業(yè)靠著增加晶圓投片量來提高芯片出貨量,利用制程微縮讓每片晶圓切割出更多芯片的貢獻(xiàn)并不多。從 2000~2019 年,每片晶圓切割出的良品芯片的年平均成長率僅 0.9%,但通過增加晶圓投片來增加的良品芯片的年平均成長率達(dá) 6.5%??傮w來看,2000~2019 年全球每年新增加的芯片數(shù)量,有 86%來自晶圓投片量增加,只有 14%是來自制程微縮讓每片晶圓切割出更多芯片。因此晶圓產(chǎn)能意味著潛在的銷售量, 是影響制造廠商營收的一大因素。

從供需結(jié)構(gòu)分析,供給端 2019Q4 硅片全球產(chǎn)能,200mm 已經(jīng)回落至 500 萬片/月,同2016 年周期啟動(dòng)時(shí)同一水準(zhǔn),300mm 接近 600 萬片/月,落于景氣高點(diǎn)水位之下,考慮到需求端芯片存在 1 高性能計(jì)算芯片/指紋識(shí)別 die 面積增大;2 新應(yīng)用(5G/車聯(lián)網(wǎng)/云計(jì)算)等所需硅含量提升,供需緊平衡已經(jīng)出現(xiàn)。

根據(jù)制程節(jié)點(diǎn)劃分,200mm 當(dāng)量晶圓月產(chǎn)能如下所示。先進(jìn)制程的發(fā)展是晶圓需求的強(qiáng)勁拉動(dòng)力。

截至 2019 年 12 月,全球排名前五名晶圓每月的產(chǎn)能超過 100 萬片晶圓(200mm 等效晶圓),產(chǎn)能合計(jì)占全球晶圓總產(chǎn)能的 53%。

2017 至 2020 年,全球芯片制造產(chǎn)能(折合成 200mm)預(yù)計(jì)將從 1985 萬片/月增長至2407 萬片/月,年均復(fù)合增長率 6.64 ;中國芯片制造產(chǎn)能從 276 萬片/月增長至 460 萬片/月,年均復(fù)合增長率 18.50 。近年來,隨著中芯國際、華力微電子、長江存儲(chǔ)、華虹宏力等中國大陸芯片制造企業(yè)的持續(xù)擴(kuò)產(chǎn),中國大陸芯片制造產(chǎn)能增速高于全球芯片產(chǎn)能增速。

從產(chǎn)能利用率方面看,晶圓廠平均產(chǎn)能利用率略有波動(dòng),但整體呈現(xiàn)上升趨勢(shì)。

3. 半導(dǎo)體制造行業(yè)競(jìng)爭邏輯

半導(dǎo)體制造行業(yè)具有人才、技術(shù)和資本密集的特點(diǎn)。

人才和技術(shù)密集:半導(dǎo)體制造行業(yè)是受研發(fā)和技術(shù)驅(qū)動(dòng)的行業(yè),對(duì)人才和技術(shù)極為看重。2018 年畢馬威聯(lián)合 SEMI 發(fā)布了一份問卷調(diào)查數(shù)據(jù),受訪者皆為全球半導(dǎo)體的行業(yè)高管,其中有 64%的人認(rèn)為人才風(fēng)險(xiǎn)是三大運(yùn)營風(fēng)險(xiǎn)之一。集成電路人才稀缺,《中國集成電路產(chǎn)業(yè)人才白皮書(2018-2019 年版)》預(yù)計(jì)中國 IC 制造行業(yè)人才 2021 年需求達(dá)到 24.6 萬,比 2019 年多 10.2 萬,因此半導(dǎo)體行業(yè)成為了國內(nèi)引進(jìn)人才最多的行業(yè)。中芯國際引進(jìn)梁孟松,紫光集團(tuán)旗下的長江存儲(chǔ),以及合肥長鑫的 DRAM 廠引進(jìn)美光、SK 海力士等大廠的人才。在引進(jìn)人才之后,中芯國際在 19 年底成功實(shí)現(xiàn) 14nm 量產(chǎn),長江存儲(chǔ)量產(chǎn)了 64 層堆棧 3D 閃存,合肥長鑫量產(chǎn)了 DDR4 內(nèi)存。

在技術(shù)方面,在上文已經(jīng)介紹過各大公司在制程方面的發(fā)展,以及先進(jìn)制程對(duì)公司營收的貢獻(xiàn)。從歷史的發(fā)展看,當(dāng)某家大廠可以量產(chǎn)最新先進(jìn)制程時(shí),公司的訂單量會(huì)飆升,營收也會(huì)大漲。

資本密集:半導(dǎo)體制造廠商需要持續(xù)不斷投入工藝制程和產(chǎn)品結(jié)構(gòu)的研發(fā),且半導(dǎo)體制造企業(yè)是重資產(chǎn)企業(yè),需要資本的大力支持。自 1990 年代以來,半導(dǎo)體行業(yè)在研發(fā)強(qiáng)度方面一直領(lǐng)先于所有其他主要工業(yè)領(lǐng)域,每年用于研發(fā)的支出平均約占總銷售額的 15%,近幾年略有下降,是因?yàn)槭杖攵嗽鲩L更強(qiáng)勁。雖然半導(dǎo)體行業(yè)過去五年研發(fā)支出年度增長放緩,但是由于先進(jìn)制程的發(fā)展對(duì)技術(shù)提出了更高的需求,包括 EUV 光刻技術(shù)、sub-3nm 工藝技術(shù)、3D 模具堆疊技術(shù)使未來五年研發(fā)支出會(huì)加速增長, 預(yù)計(jì) 2019-2024 年復(fù)合增長率為 4.4 %。

以晶圓代工廠為例,臺(tái)積電和中芯國際研發(fā)支出略有波動(dòng),整體呈現(xiàn)上升趨勢(shì)。聯(lián)電在放棄 7nm 制程研發(fā)后,研發(fā)支出略有下降。

在資本支出方面,前五大公司(三星、英特爾、臺(tái)積電、SK Hynix 和美光)資本支出總額占全球半導(dǎo)體行業(yè)資本支出的 68%,創(chuàng)歷史新高。

單看純晶圓代工廠,臺(tái)積電、中芯國際、聯(lián)電、格芯資本支出均在全球純晶圓代工廠前列。臺(tái)積電飛速上升,其中臺(tái)積電 19 年資本支出同比增長 61.6 %。中芯國際在這兩年略有下降,但相較 15 年前依舊處于較高水平。聯(lián)電在放棄 7nm 制程的研發(fā)后,資本支出近兩年有明顯下降。格芯雖然放棄了 7nm 制程,但是轉(zhuǎn)戰(zhàn) SOI 工藝,因此資本支出下降沒有聯(lián)電明顯

綜上,半導(dǎo)體制造行業(yè)發(fā)展靠的是人才、技術(shù)、資本三者結(jié)合。人才是企業(yè)的軟實(shí)力, 為公司提供源源不斷的研發(fā)智慧。半導(dǎo)體制造行業(yè)是重資產(chǎn)行業(yè),先進(jìn)制程的進(jìn)步與先進(jìn)設(shè)備有關(guān),資本的投入為先進(jìn)技術(shù)研發(fā)、廣羅人才提供支持。技術(shù)發(fā)展是企業(yè)成為行業(yè)龍頭的必要標(biāo)準(zhǔn)。反向看,技術(shù)發(fā)展會(huì)為公司開拓廣闊市場(chǎng),營收增長,實(shí)現(xiàn)資本積累,提高公司實(shí)力,產(chǎn)生規(guī)模效應(yīng),吸引人才流入。三者相互作用,使半導(dǎo)體龍頭企業(yè)技術(shù)發(fā)展越來越快,資本積累越來越多,同樣擁有專業(yè)技術(shù)的人才也越多, 馬太效應(yīng)由此形成

4. 制造行業(yè)長期成長邏輯/未來增量空間

半導(dǎo)體制造行業(yè)的發(fā)展是受到下游需求驅(qū)動(dòng)的,因此對(duì)下游市場(chǎng)的發(fā)展進(jìn)行分析能夠理清制造行業(yè)的長期成長邏輯及未來的增量空間。

4.1. 長期成長邏輯

將應(yīng)用分為兩類,一類是受到摩爾定律主導(dǎo)的市場(chǎng),主要包括智能手機(jī)、電腦和服務(wù)器的CPU 和存儲(chǔ)芯片;一類是超越摩爾定律的應(yīng)用領(lǐng)域,主要包括模擬芯片、傳感器、功率芯片等領(lǐng)域。

受摩爾定律主導(dǎo)的市場(chǎng)

摩爾定律主導(dǎo)的市場(chǎng)是半導(dǎo)體市場(chǎng)的主戰(zhàn)場(chǎng),從市場(chǎng)增速來看,存儲(chǔ)芯片、邏輯芯片增速依舊排在前列。

CPU 為了滿足高性能計(jì)算、續(xù)航散熱這些需求,對(duì)芯片制程需求越來越高,手機(jī)和電腦都是最先采用先進(jìn)制程的領(lǐng)域。從性能上看,據(jù) techcenturion 評(píng)測(cè),目前手機(jī) CPU 芯片性能最好的是蘋果的 A13,采用的是臺(tái)積電的 7nm 工藝。

目前性能最高的 PC CPU 芯片為 AMD 的銳龍 Thread Ripper 3990X,采用的也是臺(tái)積電的7nm 工藝。

從手機(jī)和電腦的 CPU 芯片發(fā)展中可以看到搶占最先進(jìn)制程的重要性。存儲(chǔ)芯片的發(fā)展前面也已經(jīng)提過了,市場(chǎng)被擁有先進(jìn)制程的大廠商三星、海力士、美光等瓜分。

CPU 和存儲(chǔ)器的長期動(dòng)能來自手機(jī)、PC 和服務(wù)器的增長。目前手機(jī)、計(jì)算機(jī)等仍是半導(dǎo)體行業(yè)終端最大的應(yīng)用市場(chǎng)。2018 年全球手機(jī)和基站、計(jì)算機(jī)用芯片銷售額分別為 487 億美元、280 億美元,在半導(dǎo)體終端市場(chǎng)的占比分別為 36%、21% 。智能手機(jī)和計(jì)算機(jī)市場(chǎng)是摩爾定律前進(jìn)的推動(dòng)力。

智能手機(jī)的成長動(dòng)力主要源自 5G 的出現(xiàn)。2020 年是 5G 開始興起的一年,IDC 預(yù)計(jì) 2020 年將出貨 1.9 億部 5G 智能手機(jī),占智能手機(jī)總出貨量的 14%,預(yù)計(jì)到 2023 年,這一數(shù)字將增長到全球智能手機(jī)出貨量的 28.1%。

2019 年全球 PC 出貨量同比增長 2.7%,這是自 2011 年市場(chǎng)增長 1.7%以來,PC 首次實(shí)現(xiàn)全年增長,這也是 PC 市場(chǎng)進(jìn)入回暖的明顯跡象。盡管市場(chǎng)面臨挑戰(zhàn),PC 市場(chǎng)一直被看衰, 但是可以看到 PC 需求仍然一直存在。從 PC 的設(shè)計(jì)上看,全面屏和輕薄化是趨勢(shì),性能、續(xù)航、散熱需求也逐漸增加,這些需求對(duì)電腦 CPU 的要求更高。

數(shù)據(jù)的高速增長帶動(dòng)了數(shù)據(jù)中心業(yè)務(wù)急速增長。MarketsandMarkets 估計(jì),到 2024 年,全球數(shù)據(jù)中心機(jī)架服務(wù)器市場(chǎng)將從 2019 年的 521 億美元增長到 1025 億美元,在預(yù)測(cè)期內(nèi)復(fù)合年增長率(CAGR)為 14.5 %。推動(dòng)市場(chǎng)增長的因素是對(duì)可擴(kuò)展數(shù)據(jù)中心和高密度計(jì)算的需求。此外,邊緣計(jì)算、物聯(lián)網(wǎng)和云計(jì)算等新興技術(shù)的進(jìn)步有望為數(shù)據(jù)中心機(jī)架式服務(wù)器供應(yīng)商創(chuàng)造充足的機(jī)會(huì)。

從邏輯芯片的營收變化看,邏輯芯片營收占比一直處于 25 左右,多年占比穩(wěn)定,據(jù) WSTS估計(jì),19 年?duì)I收 1046 億美元,較 18 年有下降,但是占比提升,因此營收主要受半導(dǎo)體整體行業(yè)景氣度的影響,而 20 年?duì)I收會(huì)有所上升,占比也有提升。

在半導(dǎo)體各類芯片中,存儲(chǔ)芯片在營收中占比最大。存儲(chǔ)芯片市場(chǎng) 2018 年?duì)I收 1579.67億美元,占比 33.70% ,在整個(gè)半導(dǎo)體市場(chǎng)的份額已超過 1/3。根據(jù) WSTS 19 年 11 月公布的預(yù)測(cè),2019 年占比有所下降,約為 25.89 %,但仍然是占比最大的板塊。從增長率看, NAND 產(chǎn)能和價(jià)格的增長會(huì)高于 DRAM。

長遠(yuǎn)來看,邏輯芯片和存儲(chǔ)芯片的增量市場(chǎng)來自于 5G、云計(jì)算、AI 和物聯(lián)網(wǎng),數(shù)據(jù)種類、數(shù)量高速的增長,對(duì)處理器的要求越來越高,對(duì)存儲(chǔ)的需求也在增大,因此摩爾定律的主導(dǎo)市場(chǎng)發(fā)展空間大,利潤率高,依舊是各大廠商競(jìng)相追逐的領(lǐng)域。

對(duì)于半導(dǎo)體制造廠商而言,目前 CPU 和存儲(chǔ)領(lǐng)域是制造龍頭蠶食的區(qū)域,擁有先進(jìn)制程的制造公司才有能力加入這個(gè)市場(chǎng)。且手機(jī)、PC 經(jīng)過多年的發(fā)展基本上屬于穩(wěn)定的存量市場(chǎng),因此為了爭奪市場(chǎng)份額,各大廠商在制程上追逐。除此之外,制造廠商迅速布局 5G、云計(jì)算、AI 和物聯(lián)網(wǎng)提供的新的增量市場(chǎng)。由于先進(jìn)制程研發(fā)的穩(wěn)步推進(jìn),臺(tái)積電一直是蘋果的代工,而高通的訂單則一直被三星和臺(tái)積電爭奪,技術(shù)是設(shè)計(jì)廠商選擇代工的影響因素,因此想要在摩爾定律主導(dǎo)的市場(chǎng)獲取市場(chǎng)份額,持續(xù)實(shí)現(xiàn)成長,制造廠商第一要?jiǎng)?wù)是推動(dòng)自身先進(jìn)制程的發(fā)展。

超越摩爾定律應(yīng)用領(lǐng)域

摩爾定律的推進(jìn)降低了 CPU、存儲(chǔ)、邏輯芯片的成本,但是不能給模擬芯片、傳感器芯片、射頻芯片等帶來理想的成本效益。RF、電源管理、MEMS、CMOS 傳感器等芯片需要更專業(yè)化,需要通過集成增加更多功能。雖然這些專用芯片的制造商仍然關(guān)注尺寸、速度和功率,但是不一定需要在最先進(jìn)的節(jié)點(diǎn)上進(jìn)行功能集成,它們需要綜合考慮性能、集成度和成本。這類應(yīng)用統(tǒng)稱為超越摩爾定律的應(yīng)用領(lǐng)域。摩爾制程主導(dǎo)的領(lǐng)域追求的是制程的發(fā)展,而超越摩爾定律的應(yīng)用領(lǐng)域朝著多樣化發(fā)展。

物聯(lián)網(wǎng)應(yīng)用就是一個(gè)很好的例子。典型的物聯(lián)網(wǎng)設(shè)備可能包括具有模擬接口的傳感器、用于編碼和數(shù)據(jù)存儲(chǔ)的存儲(chǔ)器、用于數(shù)據(jù)通信的射頻功能、用于控制設(shè)備和處理數(shù)據(jù)的處理器,另外可能還有電池和電池接口。大多數(shù)情況下,這些設(shè)備可能處于休眠模式,所以超低漏電是一個(gè)關(guān)鍵要求。然而,一旦被信號(hào)喚醒,設(shè)備必須立即切換到高性能模式,以便在存儲(chǔ)器中獲取或存儲(chǔ)數(shù)據(jù),處理數(shù)據(jù),然后傳輸或接收數(shù)據(jù)。7nm 邏輯芯片在處理這些不同功能方面沒有任何實(shí)際優(yōu)勢(shì)。還有云計(jì)算和數(shù)據(jù)中心的人工智能推理/訓(xùn)練應(yīng)用與芯片制程關(guān)系不大,其最大的問題是功耗。

根據(jù) Yole 統(tǒng)計(jì),2017 年超越摩爾的應(yīng)用領(lǐng)域?qū)A需求為 4500 萬片(8 英寸當(dāng)量),預(yù)計(jì)到 2023 年需求會(huì)增長到 6600 萬片,CAGR 10 。

1. 電源管理芯片

Yole 預(yù)測(cè)電源 IC 將受益于多個(gè)主要終端市場(chǎng)的擴(kuò)張而在 2016~2022 年期間獲得 3.6%的復(fù)合年增長率,與半導(dǎo)體整體產(chǎn)業(yè)的增長趨勢(shì)保持一致。2016 年,電源 IC 市場(chǎng)的規(guī)模預(yù)計(jì)為 145 億美元,到 2022 年預(yù)計(jì)將增長至 180 億美元。

電源管理芯片在電子產(chǎn)品市場(chǎng)舉足輕重,幾乎所有的電子產(chǎn)品和設(shè)備都需要電源管理芯片。通信是最主要的電源管理芯片市場(chǎng),主要包括智能手機(jī)市場(chǎng)和通信基站市場(chǎng),而這兩部分 市場(chǎng)都受益于 5G 的發(fā)展,智能手機(jī)出貨量及單部手機(jī)電源管理芯片數(shù)量或有增長,5G 基站建設(shè)量大幅增長,單個(gè)基站通道數(shù)增加,這些都讓通信市場(chǎng)成為當(dāng)下有潛力的增量市場(chǎng)。汽車電源管理芯片受益于新能源汽車的驅(qū)動(dòng);消費(fèi)電子市場(chǎng)受到物聯(lián)網(wǎng)發(fā)展的驅(qū)動(dòng),下游 應(yīng)用持續(xù)分散化,不同應(yīng)用對(duì)電源管理的要求也不同,TWS 耳機(jī)的發(fā)展是目前電源管理芯片最明顯的消費(fèi)電子增長點(diǎn),由于 TWS 耳機(jī)體積小需要集成度更高的電源管理芯片,且其充電盒也拉動(dòng)了電源管理芯片的需求。隨著工業(yè)從規(guī)?;呦蜃詣?dòng)化、智能化,工業(yè)與信息化的深度融合、智能制造轉(zhuǎn)型升級(jí)將帶動(dòng)工業(yè)電子電源管理芯片需求的增長。

2. 傳感器芯片

傳感器領(lǐng)域,手機(jī)攝像頭的需求帶動(dòng) CMOS 圖像傳感器增長迅速;自動(dòng)駕駛技術(shù)的快速發(fā)展,增加了對(duì)圖像傳感器、MEMS 傳感器、激光雷達(dá)、超聲波傳感器多種類型傳感器的需求。VR/AR 帶動(dòng) MEMS 傳感器需求增長。

電源管理芯片、傳感器芯片等對(duì)芯片制程要求沒有那么苛刻,因此除了龍頭廠商外,其他半導(dǎo)體制造廠商也能分一杯羹,格芯、聯(lián)電、中芯國際、華虹半導(dǎo)體等在這些細(xì)分領(lǐng)域都能擁有一定市場(chǎng)。之前格芯和聯(lián)電都宣布了放棄研發(fā) 7nm 工藝,把更多的精力放在了專用芯片的制造商。因此,各大廠商如果在制程競(jìng)爭上沒有優(yōu)勢(shì),可以抓住這類市場(chǎng)的機(jī)會(huì), 進(jìn)行專用芯片的研發(fā)。

4.2. 近年來的主線,5G、IoT、車用半導(dǎo)體、AI 提供大增量(略)

前面介紹制造廠商的兩個(gè)市場(chǎng)時(shí),提到 5G、IoT、車用半導(dǎo)體、AI 等新興領(lǐng)域給這些市場(chǎng)注入了新的發(fā)展動(dòng)力,這也是近年來半導(dǎo)體領(lǐng)域應(yīng)用的主線。

5. 中國半導(dǎo)體制造業(yè)的機(jī)會(huì)在哪里?

目前,中國半導(dǎo)體行業(yè)還處在初期發(fā)展階段,國內(nèi)企業(yè)長期研發(fā)投入和積累不足,使我國半導(dǎo)體行業(yè)在國際分工中多處于中低端領(lǐng)域,高端產(chǎn)品市場(chǎng)被歐美日韓臺(tái)等少數(shù)國際大公司壟斷。我國半導(dǎo)體產(chǎn)業(yè)亟待解決的兩個(gè)問題是:供需失衡和結(jié)構(gòu)失衡。

供需失衡

中國是全球最大的半導(dǎo)體消費(fèi)市場(chǎng),也是全球工業(yè)制造中心,人口基數(shù)決定了半導(dǎo)體終端產(chǎn)品的消耗量。盡管中國半導(dǎo)體產(chǎn)業(yè)銷售規(guī)模持續(xù)擴(kuò)張,但本地制造商只能滿足該國約 30%的需求,因此只能長期依賴于進(jìn)口。根據(jù)中國半導(dǎo)體行業(yè)協(xié)會(huì)統(tǒng)計(jì),2018 年,中國集成電路進(jìn)口金額達(dá) 3120.6 億美元。中國半導(dǎo)體產(chǎn)業(yè)國產(chǎn)化進(jìn)程嚴(yán)重滯后于國內(nèi)快速增長的市場(chǎng)需求,中國半導(dǎo)體供需失衡嚴(yán)重,國內(nèi)企業(yè)進(jìn)口替代空間非??捎^。

結(jié)構(gòu)失衡

國內(nèi)半導(dǎo)體行業(yè)結(jié)構(gòu)失衡。大多數(shù)半導(dǎo)體公司都是定位于中低端市場(chǎng)的中小半導(dǎo)體設(shè)計(jì)公司,大規(guī)模、技術(shù)壁壘高的制造公司比較少。從國內(nèi)半導(dǎo)體設(shè)計(jì)制造封測(cè)銷售額看,半導(dǎo)體制造銷售量在三者一直是最低者,12 年以來制造占比雖然有所提高,但依舊在 30 以下。

當(dāng)前,中國半導(dǎo)體產(chǎn)業(yè)正處于產(chǎn)業(yè)升級(jí)的關(guān)鍵階段,掌握核心技術(shù)是中國半導(dǎo)體產(chǎn)業(yè)現(xiàn)階段最重要的目標(biāo),國內(nèi)半導(dǎo)體制造公司崛起迎來機(jī)遇。

1.摩爾定律放緩為國內(nèi)制造企業(yè)提供機(jī)會(huì)

上文我們介紹過摩爾定律的發(fā)展,先進(jìn)制程工藝由于需要大量的工藝研發(fā)和資本投入,能負(fù)擔(dān)大額成本投入的晶圓廠越來越少,摩爾定律放緩。除了英特爾、臺(tái)積電、三星以外,聯(lián)電和格芯都宣布了放棄對(duì) 7nm 制程的研發(fā)。這給國內(nèi)制造企業(yè)提供了趕超的機(jī)會(huì),目前中芯國際正在研發(fā) N+1 代制程,華虹半導(dǎo)體也在追趕 14nm 制程,以長江存儲(chǔ)、合肥長鑫等企業(yè)深耕存儲(chǔ)領(lǐng)域。

2. 產(chǎn)業(yè)鏈轉(zhuǎn)移,下游應(yīng)用細(xì)分化也是國內(nèi)半導(dǎo)體制造企業(yè)的一大機(jī)會(huì)

伴隨著下游 PC、智能手機(jī)市場(chǎng)的逐漸成熟和飽和,半導(dǎo)體行業(yè)的系統(tǒng)性創(chuàng)業(yè)機(jī)會(huì)也從 PC、智能手機(jī)、服務(wù)器三大集中性市場(chǎng)往物聯(lián)網(wǎng)、下一代智能終端等碎片化、新興化市場(chǎng)轉(zhuǎn)移,物聯(lián)網(wǎng)、新能源汽車等新興市場(chǎng)帶來向上重構(gòu)供應(yīng)鏈的系統(tǒng)性機(jī)會(huì)。在應(yīng)用場(chǎng)景方面,采用先進(jìn)工藝的芯片種類主要是基帶、CPU、存儲(chǔ)等大型邏輯芯片,主要用于手機(jī)和筆記本電腦,而其他低功耗領(lǐng)域需要一些專用芯片。對(duì)于中低端 MCU、電源管理芯片等技術(shù)壁壘不高的細(xì)分市場(chǎng),芯片專用化、性價(jià)比是重點(diǎn)。以功率半導(dǎo)體為代表的模擬細(xì)分市場(chǎng)中,傳統(tǒng)汽車電子、工業(yè)級(jí)芯片等市場(chǎng)對(duì)品控、功耗、性價(jià)比的要求很高。因此在這些市場(chǎng),國內(nèi)企業(yè)可以找到突破口,積極布局滲透市場(chǎng)。例如,三安光電在第三代半導(dǎo)體材料 GaN崛起中受益,耐威科技在 MEMS 傳感器研發(fā)中持續(xù)發(fā)展。

3. 終端品牌效應(yīng)

終端品牌的國產(chǎn)化給上游供應(yīng)鏈帶來發(fā)展機(jī)會(huì),終端需求向上傳導(dǎo)可以帶動(dòng)整個(gè)供應(yīng)鏈的國產(chǎn)化。例如,“蘋果產(chǎn)業(yè)鏈”帶動(dòng)了臺(tái)積電、環(huán)旭電子和長電科技等企業(yè)的發(fā)展。目前,5G 帶動(dòng)了“華為產(chǎn)業(yè)鏈”的發(fā)展,進(jìn)入華為產(chǎn)業(yè)鏈的中芯國際也將在產(chǎn)業(yè)鏈的影響下也會(huì)有所收益。

4. 政策扶持

根據(jù)“中國制造 2025”重點(diǎn)領(lǐng)域技術(shù)路線圖對(duì) IC 制造產(chǎn)業(yè)的規(guī)劃,國產(chǎn)半導(dǎo)體制造產(chǎn)業(yè)的發(fā)展將圍繞產(chǎn)能擴(kuò)充與先進(jìn)制程同步推進(jìn)。國家集成電路大基金的投資扶持了半導(dǎo)體制造企業(yè)的發(fā)展。

6. 半導(dǎo)體制造廠商(略,詳見報(bào)告原文)

半導(dǎo)體制造廠商主要有臺(tái)積電、三星、英特爾、聯(lián)電、格芯、中芯國際和華虹半導(dǎo)體。

……


轉(zhuǎn)載請(qǐng)注明出處。

半導(dǎo)體半導(dǎo)體產(chǎn)業(yè)鏈激光激光技術(shù)
免責(zé)聲明

① 凡本網(wǎng)未注明其他出處的作品,版權(quán)均屬于激光制造網(wǎng),未經(jīng)本網(wǎng)授權(quán)不得轉(zhuǎn)載、摘編或利用其它方式使用。獲本網(wǎng)授權(quán)使用作品的,應(yīng)在授權(quán)范圍內(nèi)使 用,并注明"來源:激光制造網(wǎng)”。違反上述聲明者,本網(wǎng)將追究其相關(guān)責(zé)任。
② 凡本網(wǎng)注明其他來源的作品及圖片,均轉(zhuǎn)載自其它媒體,轉(zhuǎn)載目的在于傳遞更多信息,并不代表本媒贊同其觀點(diǎn)和對(duì)其真實(shí)性負(fù)責(zé),版權(quán)歸原作者所有,如有侵權(quán)請(qǐng)聯(lián)系我們刪除。
③ 任何單位或個(gè)人認(rèn)為本網(wǎng)內(nèi)容可能涉嫌侵犯其合法權(quán)益,請(qǐng)及時(shí)向本網(wǎng)提出書面權(quán)利通知,并提供身份證明、權(quán)屬證明、具體鏈接(URL)及詳細(xì)侵權(quán)情況證明。本網(wǎng)在收到上述法律文件后,將會(huì)依法盡快移除相關(guān)涉嫌侵權(quán)的內(nèi)容。

網(wǎng)友點(diǎn)評(píng)
0相關(guān)評(píng)論
精彩導(dǎo)讀